От: fpga journal update [news@fpgajournal.com]
Отправлено: 9 ноября 2004 г. 21:38
Кому: Michael Dolinsky
Тема: FPGA Journal Update Vol V No 6


a techfocus media publication :: November 9, 2004 :: volume V, no. 06


FROM THE EDITOR

This week, with Denali’s MemCon raging in Santa Clara, we are happy to present our memory extravaganza. Our first feature discusses considerations in selecting the appropriate memory technology for your FPGA (or structured-ASIC) design. With the enormous interest in embedded design using programmable logic, connecting copious amounts of RAM to your design has become one of the leading challenges.

Our second article, from Lattice Semiconductor, discusses specifics of interfacing double data rate (DDR) DRAM with your FPGA. With the tools, hints, IP and development boards provided by your favorite FPGA or EDA vendor, you’ll be a memory expert in no time.

Thanks for reading! If there's anything we can do to make our publications more useful to you, please let us know at: comments@fpgajournal.com

Kevin Morris – Editor
FPGA and Programmable Logic Journal

LATEST NEWS

November 9, 2004

Xilinx Solves Memory Interface Design Challenges With Virtex-4 Memory Development System

National Semiconductor Strengthens High-Speed Analog Interfaces With Three New Chips From Its Industry-Leading LVDS Product Line

Thirteen Third-Party IP, Design Services and EDA Tool Suppliers Announce Support of LSI Logic's RapidChip(R)

Atmel Extends and Expands Partnership With Mentor Graphics, OEM Agreement Spans Synthesis, Simulation and Verification

eASIC Successfully Qualifies Structured eASIC in 0.13 micron Silicon

Avnet Electronics Marketing Delivers Virtex-4 SpeedWay Seminars at Customer Locations

Synplicity Reinforces Commitment to Support LSI Logic's RapidChip Platform ASIC Customers

Transtech DSP and Elma Announce Strategic Agreement to Supply VXS/VITA 41 Systems

November 8, 2004

Stelar Tools Introduces HDL Explorer to Help Design and Verification Engineers Quickly and Easily Reach RTL Closure

Anadigm's New Starter Kits Give Designers Pre-Configured Solutions for Implementing and Controlling Dynamically Reconfigurable Programmable Analog Circuits

Xilinx Unveils New CoolRunner-II CPLDs for Price-Sensitive Small Form-Factor Applications

Xilinx Delivers Industry's Lowest Power FPGAs With New Spartan-3l Family

Texas Instruments Announces Volume Production of World's First 90nm DSPs Running at 1 GHz

Actel Offers High-Performance, Easy-to-Use FPGA-Based DSP Solution

LSI Logic Announces Webcast of RapidChip(R) Platform ASIC Partner Program Presentations

Ottawa's Fidus Opens Silicon Valley Office; Expands US Exports

SGI Demonstrates 'Out-Compute to Out-Compete' Technology at Supercomputing Conference 2004

November 5, 2004

Benefits of Altera's Dense, High-Performance Designs Displayed at Denali MemCon

Xilinx at Denali MemCon 2004

Aldec Recognized as an FPGA Design and Verification Favorite with the Highly Automated Easy-to-Use Active-HDL

November 4, 2004

AccelChip Executive Advocates Algorithmic Synthesis at Multiple IEEE Meetings

Lattice, Eurodis Expand Business Relationship to Include United Kingdom, Ireland

November 3, 2004

Head of Altera's IC Design to Discuss Emerging Memory Technology Trends at Semico's Nanotechnology Conference

Denali MemCon Program to Feature Panel Discussion, 'ASICs, Structured ASICs and FPGAs: Defining the SoC Methodology'

STMicroelectronics' Kit to Power Enel's Next-Generation Electricity Meters

EVENTS

Free Net Seminar! Learn How to Solve High-Speed Clock Network Issues with Lattice’s ispClock
Date: Wednesday, November 10, 2004
Time: 11 a.m. Pacific / 2 p.m. Eastern
Designers today face the challenge of clock frequency generation and clock distribution in multiple clock domain systems. Traditional clock chips provide single-point solutions for a portion of a clock network, forcing designers to select several clock devices to complete a system design. The new and unique Lattice ispClock programmable clock generator device solves a variety of clock network design issues in a single chip.
Click here to register!

Register for Altera's SOPC World 2004 Today! 
Experience detailed technical sessions on embedded processing, high-speed design, DSP, and leveraging direct memory access. PLUS: Explore live demos from Altera and its partners and see Altera's roadmap. Click here for more information.

Industry-Leading Embedded Processor Workshops!
Learn how to use Xilinx® technology to develop embedded software applications, architect a PowerPC™-based system, and more during three exciting Memec Insight workshops, beginning October 5. Specially priced development boards and software exclusively for attendees. Click here.
Visit Techfocus Media

CURRENT FEATURE ARTICLES

High DRAMa
Making Memory Manageable
Overview of Memory Types and DDR Interface Design Implementation
by Laxmi Vishwanathan, Dan Schaffer, Jock Tomlinson, Lattice Semiconductor Corp.
Package Deal
How to Pick the Best Wrapper for Your FPGA
Engineers Speak Out
The Voice of the FPGA Design Community
Does Single-pass Physical Synthesis Work for FPGAs?
by Sanjay Bali, Mentor Graphics Corp.
Wally Rhines
Leading Mentor Down the Path Less Traveled
Energy Efficient Application Design using FPGAs  
by Sumit Mohanty and Viktor K. Prasanna,
University of Southern California

Metal Mangling Mayhem
Does CycloneBot Dream of Electric Sheep?


High DRAMa
Making Memory Manageable

A decade ago, memory was not mentioned in the same breath as programmable logic. Each component type had its own role in system design, and different design team members were typically involved with their selection and use. Once FPGAs became serious system components, they began to be paired with memory in switching and network applications. During that period, however, the cost of the FPGAs (sometimes thousands of dollars per device) usually dwarfed the RAM budget. Memory was selected for its speed, and interfacing was a simple matter of putting out an address and latching in some data.

Today, however, the advent of embedded processing applications on FPGAs and a dramatic reduction in device costs have conspired to complicate the memory picture considerably. With high-volume, low-cost applications being developed around FPGA platforms, the appetite for RAM is increasing, and the price of memory is a significant portion of many designs’ total system cost. While FPGA vendors have increased the amount and variety of on-chip memory available, most applications using an embedded processor on an FPGA will still require external memory.

System designers face a challenge in selecting the RAM that will meet system performance goals, is cost effective, and will be available in easy supply for the duration of their product life cycle. On top of that, the memory that meets those requirements is most often a high-performance DRAM with complex and challenging interface constraints. For most applications, it pays to stay with readily available, proven memory solutions.

If you want to optimize price-per-bit, the best strategy is to follow the PC market. “With today’s long product life cycles, it is important to choose a RAM technology that has legs,” says Jim Elliott, Associate Director of DRAM products at Samsung. “You need a product that will be available at a competitive price for the duration of your production cycle. In today’s market, the sweet spot is components with a 256Mbit density.” Price-wise, moving either direction from the sweet spot will result in higher cost-per-bit. A 64Mbit device might be more than ¼ the price of 256, and a 512Mbit device might be more than double. “The farther you go off the beaten path,” Elliott continues, “the price goes up and the supply and availability go down. The point moves every one and a half to two years, so you should consider your launch date and choose a density accordingly.” [more]

Overview of Memory Types and DDR Interface Design Implementation
by Laxmi Vishwanathan, Dan Schaffer, Jock Tomlinson, Lattice Semiconductor Corp.

Over the past several years the electronics market and, more specifically, the memory market has undergone significant change. Prior to the electronics industry downturn in 2000, electronic system designers were less concerned with the cost of the components going into their next design, and more concerned with the raw, maximum performance they could achieve.

Today, increasing competition and decreasing profit margins have forced system designers to reduce next generation product cost while maintaining, or even increasing, system performance. One industry segment that has experienced substantial growth as a result of this transition is DRAM memory, particularly Double Data Rate (DDR) SDRAM memory.

DDR Memory first came on the scene as a high performance, low-cost memory solution targeted primarily at the personal computer and other cost sensitive consumer markets. More recently, due to the economic pressures squeezing the entire electronics industry, non-consumer products have also begun to incorporate DDR memory (Figure 1).

DDR is an evolutionary memory technology based on SDRAM. DDR SDRAM access is twice as fast as SDRAM, because DDR data transfers occur on both edges of the clock, compared to SDRAM, which transfers data only on the rising edge of a clock. Consequently, DDR can transfer data at up to 2133MB/s. DDR also consumes much less power than conventional SDRAM, with an operational Vcc of just 2.5Vdc instead of 3.3Vdc for SDRAM. [more]

ANNOUNCEMENTS

Discover how Nexar reduces your FPGA system development time with Altium's LiveDesign Evaluation Kit: Explore the benefits of running real software on real hardware in real time. Have an FPGA-based embedded system up and running in minutes for only US$99*! Altium's LiveDesign Evaluation Kit gives you: 30-day unlimited access to Nexar2004; a versatile Development Board featuring a choice of either a Altera Cyclone or Xilinx Spartan-3 FPGA device; and over 20 'Live' example projects allowing you to interactively build, test and implement digital systems, including entire processor-based systems on FPGAs. Click here for more info!

Stratix II, the biggest & fastest FPGAs, are shipping now! -- The revolutionary Stratix II FPGAs have the highest density, highest performance, and most efficient architecture on the market. The new innovative logic structure in Stratix II FPGAs enables 50% faster performance with 500 MHz internal clockspeed, up to 180K equivalent logic elements (LEs), and 9 Mbits of RAM, all at lower cost. Click here.


Test drive Active HDL 6.3. Active-HDL 6.3 provides you with a universal FPGA design environment supporting all levels of design creation, project management, revision control, HTML documentation and mixed-HDL verification. Get graphical design entry, testbench automation, mixed VHDL, Verilog, Native SystemC and EDIF simulation all in one easy-to-use Windows-based product.
Download FREE evaluation NOW!

Find a better job. Browse FPGA Journal’s new job listings to find challenging and rewarding opportunities with the FPGA industry’s top companies. Journal Jobs is specifically for FPGA professionals – more of what you’re looking for, less of what you’re not. Browse now!


FPGA Journal has teamed with Demos on Demand™ to provide streaming video demos from over 70 EDA, PLD and IP vendors to our readers.  Programming is comprised of in-depth product demos from across the entire spectrum of IC design, from ESL design entry through layout--as presented by product managers, AEs, and other subject matter experts. More info.


Hire the best FPGA talent in the industry with FPGA Journal Job Listings. Starting this month you can reach 30,000 active FPGA professionals by advertising your FPGA-related positions in Journal Jobs. Click here for info.


You're receiving this newsletter because you subscribed at our web site www.fpgajournal.com.
If someone forwarded this newsletter to you and you'd like to receive your own free subscription, go to: www.fpgajournal.com/update.
If at any time, you would like to unsubscribe, send e-mail to unsubscribe@fpgajournal.com. (But we hope you don't.)
If you have any questions or comments, send them to comments@fpgajournal.com.

All material copyright © 2003-2004 techfocus media, inc. All rights reserved.
Privacy Statement